]> www.ginac.de Git - cln.git/blob - src/float/ffloat/elem/cl_FF_plus.cc
061739b4c15bc01143717b02b6aa1ffb16550c66
[cln.git] / src / float / ffloat / elem / cl_FF_plus.cc
1 // binary operator +
2
3 // General includes.
4 #include "cl_sysdep.h"
5
6 // Specification.
7 #include "cl_ffloat.h"
8
9
10 // Implementation.
11
12 #include "cl_FF.h"
13 #include "cl_F.h"
14 #include "cl_ieee.h"
15 NEED_IEEE_FLOATS()
16 #include "cl_xmacros.h"
17
18 const cl_FF operator+ (const cl_FF& x1, const cl_FF& x2)
19 {
20 // Methode (nach [Knuth, II, Seminumerical Algorithms, Abschnitt 4.2.1., S.200]):
21 // x1=0.0 -> Ergebnis x2.
22 // x2=0.0 -> Ergebnis x1.
23 // Falls e1<e2, vertausche x1 und x2.
24 // Also e1 >= e2.
25 // Falls e1 - e2 >= 23 + 3, Ergebnis x1.
26 // Schiebe beide Mantissen um 3 Bits nach links (Vorbereitung der Rundung:
27 //   Bei e1-e2=0,1 ist keine Rundung nötig, bei e1-e2>1 ist der Exponent des
28 //   Ergebnisses =e1-1, =e1 oder =e1+1. Brauche daher 1 Schutzbit und zwei
29 //   Rundungsbits: 00 exakt, 01 1.Hälfte, 10 exakte Mitte, 11 2.Hälfte.)
30 // Schiebe die Mantisse von x2 um e0-e1 Bits nach rechts. (Dabei die Rundung
31 // ausführen: Bit 0 ist das logische Oder der Bits 0,-1,-2,...)
32 // Falls x1,x2 selbes Vorzeichen haben: Addiere dieses zur Mantisse von x1.
33 // Falls x1,x2 verschiedenes Vorzeichen haben: Subtrahiere dieses von der
34 //   Mantisse von x1. <0 -> (Es war e1=e2) Vertausche die Vorzeichen, negiere.
35 //                    =0 -> Ergebnis 0.0
36 // Exponent ist e1.
37 // Normalisiere, fertig.
38   #ifdef FAST_FLOAT
39       float_to_FF(FF_to_float(x1) + FF_to_float(x2), return ,
40                   TRUE, TRUE, // Overflow und subnormale Zahl abfangen
41                   FALSE, // kein Underflow mit Ergebnis +/- 0.0 möglich
42                          // (nach Definition der subnormalen Zahlen)
43                   FALSE, FALSE // keine Singularität, kein NaN als Ergebnis möglich
44                  );
45
46   #else
47       // x1,x2 entpacken:
48       var cl_signean sign1;
49       var sintL exp1;
50       var uintL mant1;
51       var cl_signean sign2;
52       var sintL exp2;
53       var uintL mant2;
54       FF_decode(x1, { return x2; }, sign1=,exp1=,mant1=);
55       FF_decode(x2, { return x1; }, sign2=,exp2=,mant2=);
56       var cl_FF max_x1_x2 = x1;
57       if (exp1 < exp2)
58         { max_x1_x2 = x2;
59           swap(cl_signean, sign1,sign2);
60           swap(sintL, exp1 ,exp2 );
61           swap(uintL, mant1,mant2);
62         }
63       // Nun ist exp1>=exp2.
64       var uintL expdiff = exp1 - exp2; // Exponentendifferenz
65       if (expdiff >= FF_mant_len+3) // >= 23+3 ?
66         { return max_x1_x2; }
67       mant1 = mant1 << 3; mant2 = mant2 << 3;
68       // Nun 2^(FF_mant_len+3) <= mant1,mant2 < 2^(FF_mant_len+4).
69       {var uintL mant2_last = mant2 & (bit(expdiff)-1); // letzte expdiff Bits von mant2
70        mant2 = mant2 >> expdiff; if (!(mant2_last==0)) { mant2 |= bit(0); }
71       }
72       // mant2 = um expdiff Bits nach rechts geschobene und gerundete Mantisse
73       // von x2.
74       if (!(sign1==sign2))
75         // verschiedene Vorzeichen -> Mantissen subtrahieren
76         { if (mant1 > mant2) { mant1 = mant1 - mant2; goto norm_2; }
77           if (mant1 == mant2) // Ergebnis 0 ?
78             { return cl_FF_0; }
79           // negatives Subtraktionsergebnis
80           mant1 = mant2 - mant1; sign1 = sign2; goto norm_2;
81         }
82         else
83         // gleiche Vorzeichen -> Mantissen addieren
84         { mant1 = mant1 + mant2; }
85       // mant1 = Ergebnis-Mantisse >0, sign1 = Ergebnis-Vorzeichen,
86       // exp1 = Ergebnis-Exponent.
87       // Außerdem: Bei expdiff=0,1 sind die zwei letzten Bits von mant1 Null,
88       // bei expdiff>=2 ist mant1 >= 2^(FF_mant_len+2).
89       // Stets ist mant1 < 2^(FF_mant_len+5). (Daher werden die 2 Rundungsbits
90       // nachher um höchstens eine Position nach links geschoben werden.)
91       // [Knuth, S.201, leicht modifiziert:
92       //   N1. m>=1 -> goto N4.
93       //   N2. [Hier m<1] m>=1/2 -> goto N5.
94       //       N3. m:=2*m, e:=e-1, goto N2.
95       //   N4. [Hier 1<=m<2] m:=m/2, e:=e+1.
96       //   N5. [Hier 1/2<=m<1] Runde m auf 24 Bits hinterm Komma.
97       //       Falls hierdurch m=1 geworden, setze m:=m/2, e:=e+1.
98       // ]
99       // Bei uns ist m=mant1/2^(FF_mant_len+4),
100       // ab Schritt N5 ist m=mant1/2^(FF_mant_len+1).
101       norm_1: // [Knuth, S.201, Schritt N1]
102       if (mant1 >= bit(FF_mant_len+4)) goto norm_4;
103       norm_2: // [Knuth, S.201, Schritt N2]
104               // Hier ist mant1 < 2^(FF_mant_len+4)
105       if (mant1 >= bit(FF_mant_len+3)) goto norm_5;
106       // [Knuth, S.201, Schritt N3]
107       mant1 = mant1 << 1; exp1 = exp1-1; // Mantisse links schieben
108       goto norm_2;
109       norm_4: // [Knuth, S.201, Schritt N4]
110               // Hier ist 2^(FF_mant_len+4) <= mant1 < 2^(FF_mant_len+5)
111       exp1 = exp1+1;
112       mant1 = (mant1>>1) | (mant1 & bit(0)); // Mantisse rechts schieben
113       norm_5: // [Knuth, S.201, Schritt N5]
114               // Hier ist 2^(FF_mant_len+3) <= mant1 < 2^(FF_mant_len+4)
115       // Auf FF_mant_len echte Mantissenbits runden, d.h. rechte 3 Bits
116       // wegrunden, und dabei mant1 um 3 Bits nach rechts schieben:
117       {var uintL rounding_bits = mant1 & (bit(3)-1);
118        mant1 = mant1 >> 3;
119        if ( (rounding_bits < bit(2)) // 000,001,010,011 werden abgerundet
120             || ( (rounding_bits == bit(2)) // 100 (genau halbzahlig)
121                  && ((mant1 & bit(0)) ==0) // -> round-to-even
122           )    )
123          // abrunden
124          {}
125          else
126          // aufrunden
127          { mant1 = mant1+1;
128            if (mant1 >= bit(FF_mant_len+1))
129              // Bei Überlauf während der Rundung nochmals rechts schieben
130              // (Runden ist hier überflüssig):
131              { mant1 = mant1>>1; exp1 = exp1+1; } // Mantisse rechts schieben
132          }
133       }// Runden fertig
134       return encode_FF(sign1,exp1,mant1);
135   #endif
136 }